CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 频率计 仿真

搜索资源列表

  1. 采用等精度测频原理的频率计的程序与仿真

    1下载:
  2. 采用等精度测频原理的频率计的程序与仿真
  3. 所属分类:Windows编程

  1. 89C2051.用89C2051做的数字频率计

    2下载:
  2. 用89C2051做的数字频率计,有程序源代码,单片机仿真、C语言工程。,89C2051 make use of the digital frequency meter, have the procedure source code, single-chip simulation, C language works.
  3. 所属分类:其他小程序

    • 发布日期:2017-03-22
    • 文件大小:69534
    • 提供者:conghaisheng
  1. 数字频率计VHDL程序与仿真

    0下载:
  2. 数字频率计VHDL程序与仿真 文件名:plj.vhd。 --功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的 --高4位进行动态显示。小数点表示是千位,即KHz。 ,Digital Cymometer VHDL procedures and simulation of the file name: plj.vhd.- Function: frequency meter. With four shows that will automatically coun
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-23
    • 文件大小:100190
    • 提供者:小草
  1. aaa.rar

    0下载:
  2. EDA基于VHDL语言的数字频率计的设计及其仿真,EDA figure based on the VHDL language Cymometer Design and Simulation
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:18756
    • 提供者:孙天雨
  1. 51SCMdigitalfrequency

    0下载:
  2. 数字频率计的设计与仿真。含有源代码与protues仿真。-Digital frequency meter design and simulation. Contains the source code and protues simulation.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:231060
    • 提供者:任晖晖
  1. digital_frequency_meter

    0下载:
  2. 基于AT89S52和LCD1602的简单数字频率计,测量范围在0.2Hz到500kHZ之间,精度随频率有所变化。压缩包内包含Keil环境下的C语言源代码,以及Proteus仿真文件-Based on AT89S52 and LCD1602 a simple digital frequency meter to measure the range of 0.2Hz to 500kHZ between the precision with frequency change. Compressed p
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:56150
    • 提供者:chh513
  1. frequency-meter

    1下载:
  2. 高精度单片机频率计的设计 两种实现方法,proteus仿真、硬件调试都通过,原理图,程序,报告都有-The design of high-precision frequency meter Microcontroller two implementation methods, proteus simulation, hardware debugging through, schematics, procedures, reports have
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:507599
    • 提供者:xiangxj
  1. fangzhen

    0下载:
  2. vhdl代码: 采用等精度测频原理的频率计程序与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: Using the principle of frequency measurement accuracy, such as the frequency of procedures and simulation! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:123528
    • 提供者:daxiadian2
  1. 1602lcdfrequent

    0下载:
  2. 1602lcd显示频率计 通过了proteus仿真-1602lcd show Cymometer adopted Proteus Simulation
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:71988
    • 提供者:jicanlin
  1. Frecounte1r

    1下载:
  2. 本文描述的是数字式频率计的设计过程,其中包含了所用到的VHDl语言的源代码,和仿真图型,是比较完整描述的设计数字频率计的文章-This article describes the digital frequency of the design process, which includes the use of language VHDl source code, graphics and simulation, which is a more complete descr iption of
  3. 所属分类:Document

    • 发布日期:2017-05-09
    • 文件大小:1855489
    • 提供者:谢斌斌
  1. plj

    0下载:
  2. 这是一个基于可编程逻辑器件的程序,用来实现自动转换量程频率计控制器,该程序在可以再仿真器上仿真实现-This is a programmable logic device based on the procedures used to automatically convert the frequency range of the controller, the program can be in the simulation simulator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:175731
    • 提供者:jyb
  1. Frequencymeasurement

    0下载:
  2. 采用等精度测频原理的频率计程序与仿真,需要的朋友可以下栽看看.-Frequency measurement using the principle of accuracy, such as the frequency of procedures and simulation, the need to take a look at the下栽friends.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:123262
    • 提供者:
  1. pinlvji

    0下载:
  2. 单片机的数字频率计源码与仿真图-Single-chip digital frequency source and simulation of Figure
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:231504
    • 提供者:linming
  1. pluji

    0下载:
  2. 此为自动量程切换频率计的设计,文件中有相关电路图,以及仿真结果,主要由单片机进行计数和控制,由keil c编写-This is an automated switching frequency range of design, documents related to circuit, as well as the simulation results, carried out mainly by the single-chip counting and control, prepared by
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-03
    • 文件大小:1526491
    • 提供者:yanyi
  1. plj

    0下载:
  2. 这是一个基于51单片机的频率计,本人已经试过。里面还有PROTEUS仿真图-This is a microcontroller based on 51 frequency counter, I have tried. There is also PROTEUS simulation diagram
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:58648
    • 提供者:黄化
  1. cymometer

    0下载:
  2. 8位十进制的频率计 有相关的波形仿真,对相应计数器的修改,可以实现任何进制(如8、16、32)的修改-8-bit decimal frequency include the relevant waveform simulation, the corresponding changes to the counter, any band can be achieved (eg, 8,16,32) changes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:122398
    • 提供者:李建兵
  1. pinlvji

    0下载:
  2. 基于单片机的数字频率计,带PROTEUS仿真图-Microcontroller based digital frequency meter, with PROTEUS simulation map
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:130193
    • 提供者:彭昌权
  1. freqtest

    0下载:
  2. 对复杂大规模可编程器件的特点,提出了一种新的数字频率计的实现方法。在QutusⅡ开发软件环境下,采用硬件编程语言VHDL,实现了数字频率计的设计。经过仿真,并下载验证。能够实现测频功能。-The complex features of large-scale programmable devices, a new realization method of digital frequency meter. In Qutus Ⅱ software development environment,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:193370
    • 提供者:依然
  1. 8位数字显示的简易频率计

    1下载:
  2. (1)能够测试10HZ~10MHZ的方波信号; (2)电路输入的基准时钟为1HZ,要求测量值以8421BCD码形式输出; (3)系统有复位键; (4)采用分层次分模块的方法,用Verilog HDL进行设计,并对各个模块写出测试代码; (5)具体参照说明文档(包含源代码,仿真图,测试波形,详细的设计说明)(A square wave signal capable of testing 10HZ~10MHZ; (2) the reference clock input by the ci
  3. 所属分类:VHDL/FPGA/Verilog

  1. 单片机课程设计-频率计2016.7.22

    1下载:
  2. 包含汇编代码 proteus仿真 Word版论文 原始资料(Contains assembly code, proteus simulation, Word papers, original data.)
  3. 所属分类:汇编语言

    • 发布日期:2020-11-14
    • 文件大小:8059904
    • 提供者:garry cui
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com